Кздаде, КОЕ, у тебя в сабже порты сдкарты (57,77) видны ли под трдосом?
А порты немоЫДЕ?
keeper wrote:
А есть ли в природе какой нибудь софт для отладки сабжа? Мучаю сейчас самопальный сд интерфейс: WDC и Fatall зависают, карты Transcend 4 и 8Gb SDHC. Засылал из васика 32 FF при SDCS = 1, потом засылал CMD0 (40h,0,0,0,0,95h) при SDCS = 0, отвечает 255,255,1. если процедуру повторять то отвечает 255(15 раз),1... Осцила нет, так что...
signal BITS_COUNTER_EN : std_logic;
signal BITS_COUNTER : std_logic_vector(3 downto 0):="1000";
signal SREG_CLK : std_logic;
signal SREG_SHIFT_CLK : std_logic;
signal SREG_CLK_SYNC : std_logic;
signal SREG_DB : std_logic_vector(7 downto 0);
signal DIV : std_logic;
signal SPI_CLK : std_logic;
--spi
-- PORT_57_CS = 1 when A = 57h and nIORQ = 0
-- PORT_57_WRSTB = 1 when PORT_57_CS = 1 and nWR = 0
SPI_CLK <= DIV;
BITS_COUNTER_EN <= '0' when BITS_COUNTER(3 downto 0) = "1000" else '1';
SREG_SHIFT_CLK <= SREG_CLK_SYNC and not SPI_CLK;
SREG_CLK <= not PORT_57_WRSTB when PORT_57_CS = '1' else SREG_SHIFT_CLK;
SCK <= '0' when BITS_COUNTER(3) = '1' else SPI_CLK;
CNT1: lpm_counter
GENERIC MAP (lpm_width => 4, lpm_direction => "up")
PORT MAP (clock => not SPI_CLK, aset => PORT_57_CS, cnt_en => BITS_COUNTER_EN, q => BITS_COUNTER,
data => "1000", aload => not nRES );
SREG1: lpm_shiftreg
GENERIC MAP (lpm_width => 8, lpm_direction => "left")
PORT MAP (data => D, shiftin => MISO, load => PORT_57_CS, shiftout => MOSI,
q => SREG_DB, clock => SREG_CLK, aset => not nRES, enable => '1');
D <= SREG_DB when PORT_57_RDSTB = '1' else "ZZZZZZZZ";
process(SPI_CLK)
begin
if SPI_CLK'event and SPI_CLK = '1' then
SREG_CLK_SYNC <= not BITS_COUNTER(3);
end if;
end process;
SREG1: lpm_shiftreg
GENERIC MAP (lpm_width => 8, lpm_direction => "left")
PORT MAP (data => D, load => PORT_57_CS, shiftout => MOSI,clock => SREG_CLK, aset => not nRES);
SREG2: lpm_shiftreg
GENERIC MAP (lpm_width => 8, lpm_direction => "left")
PORT MAP (shiftin => MISO, q => SREG_DB, clock => SREG_CLK, aset => not nRES);
keeper wrote:wtf?
Users browsing this forum: No registered users and 114 guests