свежачОк от KOE (Z-CONTROLLER)

Postby lvd » 09 Aug 2010, 23:25

Кздаде, КОЕ, у тебя в сабже порты сдкарты (57,77) видны ли под трдосом?
А порты немоЫДЕ?
F̞͖̭̿̔ͯu̐̅cͬ̑ͩk̨̤̳͇̮̭̪̠̽̿̓̆ͭͩ ̷̩̰͎̩͓̘̾̀ͬ̊ͭ͛ͅda̝̺͙̬͎̝̾͟ ̰̜̝̯͉̯̖̓̎́ͨ̽ͫ͟f̟͇̭̀ͬͨͭ̐̚u̹̼̹̗̞͑̔͂͐̚cͭ̅̊̆̒̆ǩ̝̩̯́ͥ̔̍̑ḭ͓͍̳̬ͦ̽͂n͍͎͈̈̅ͩͬ ̊ͫ̂̾̑̈́f̲͚͉͓͗̋́ͧͦ̅ȗ͇̲̻͈̲̅̎͗͒ͭ͡c̬̟̠̹̯̈́ͩ͘ͅk̫̠̻̋͜a̲͒̾̇!͙͕̺͉̗̩̲̂̏̄̀
User avatar
lvd
 
Posts: 7262
Joined: 07 Apr 2007, 21:28
Group: Registered users

Postby KOE » 10 Aug 2010, 13:10

Я уже точно не помню, по-моему, нет. Исходников сейчас поблизости нет, посмотреть некуда.
Мы рождены, чтоб сказку сделать былью
"Кто не обломался, тем еще предстоит"
User avatar
KOE
 
Posts: 4682
Joined: 15 Apr 2007, 13:06
Group: Registered users

Postby lvd » 10 Aug 2010, 14:06

Значит немного изобретём стандартов :)
F̞͖̭̿̔ͯu̐̅cͬ̑ͩk̨̤̳͇̮̭̪̠̽̿̓̆ͭͩ ̷̩̰͎̩͓̘̾̀ͬ̊ͭ͛ͅda̝̺͙̬͎̝̾͟ ̰̜̝̯͉̯̖̓̎́ͨ̽ͫ͟f̟͇̭̀ͬͨͭ̐̚u̹̼̹̗̞͑̔͂͐̚cͭ̅̊̆̒̆ǩ̝̩̯́ͥ̔̍̑ḭ͓͍̳̬ͦ̽͂n͍͎͈̈̅ͩͬ ̊ͫ̂̾̑̈́f̲͚͉͓͗̋́ͧͦ̅ȗ͇̲̻͈̲̅̎͗͒ͭ͡c̬̟̠̹̯̈́ͩ͘ͅk̫̠̻̋͜a̲͒̾̇!͙͕̺͉̗̩̲̂̏̄̀
User avatar
lvd
 
Posts: 7262
Joined: 07 Apr 2007, 21:28
Group: Registered users

Postby savelij » 10 Aug 2010, 15:29

Что то насколько помню вопрос об отучении карточки от доса (чтобы из тырдоса также была видна) обсуждалсо, но на этом все и кончилось.
savelij
 
Posts: 306
Joined: 10 Jun 2008, 16:35
Group: Registered users

Postby keeper » 16 Sep 2010, 14:55

А есть ли в природе какой нибудь софт для отладки сабжа? Мучаю сейчас самопальный сд интерфейс: WDC и Fatall зависают, карты Transcend 4 и 8Gb SDHC. Засылал из васика 32 FF при SDCS = 1, потом засылал CMD0 (40h,0,0,0,0,95h) при SDCS = 0, отвечает 255,255,1. если процедуру повторять то отвечает 255(15 раз),1... Осцила нет, так что...
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 16 Sep 2010, 15:49

keeper wrote:Мучаю сейчас самопальный сд интерфейс
Ну так если интерфейс самопальный подай туда клок 1кГц и смотри клок и данные звуковой картой вместо осциллографа (как раз 2 канала будут синхронно).
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

Postby lvd » 16 Sep 2010, 16:51

keeper wrote:
А есть ли в природе какой нибудь софт для отладки сабжа? Мучаю сейчас самопальный сд интерфейс: WDC и Fatall зависают, карты Transcend 4 и 8Gb SDHC. Засылал из васика 32 FF при SDCS = 1, потом засылал CMD0 (40h,0,0,0,0,95h) при SDCS = 0, отвечает 255,255,1. если процедуру повторять то отвечает 255(15 раз),1... Осцила нет, так что...


viewtopic.php?p=7188#p7188
http://www.nedopc.org/forum/viewtopic.p ... c&start=30
Ну и далее по сцыклям

upd: исчо вот: viewtopic.php?p=4763#p4

И да, за все сцылки благодарить savelijя
F̞͖̭̿̔ͯu̐̅cͬ̑ͩk̨̤̳͇̮̭̪̠̽̿̓̆ͭͩ ̷̩̰͎̩͓̘̾̀ͬ̊ͭ͛ͅda̝̺͙̬͎̝̾͟ ̰̜̝̯͉̯̖̓̎́ͨ̽ͫ͟f̟͇̭̀ͬͨͭ̐̚u̹̼̹̗̞͑̔͂͐̚cͭ̅̊̆̒̆ǩ̝̩̯́ͥ̔̍̑ḭ͓͍̳̬ͦ̽͂n͍͎͈̈̅ͩͬ ̊ͫ̂̾̑̈́f̲͚͉͓͗̋́ͧͦ̅ȗ͇̲̻͈̲̅̎͗͒ͭ͡c̬̟̠̹̯̈́ͩ͘ͅk̫̠̻̋͜a̲͒̾̇!͙͕̺͉̗̩̲̂̏̄̀
User avatar
lvd
 
Posts: 7262
Joined: 07 Apr 2007, 21:28
Group: Registered users

Postby savelij » 16 Sep 2010, 16:57

Может вот оно еще поможет чем http://abzac.retropc.ru/content?id=884
savelij
 
Posts: 306
Joined: 10 Jun 2008, 16:35
Group: Registered users

Postby keeper » 17 Sep 2010, 16:18

За сцылки всем спасибо, разбираюсь, вот напоролся на странную проблему...
кусок сорца:

Code: Select all
signal BITS_COUNTER_EN          : std_logic;
signal BITS_COUNTER             : std_logic_vector(3 downto 0):="1000";
signal SREG_CLK                 : std_logic;
signal SREG_SHIFT_CLK           : std_logic;
signal SREG_CLK_SYNC            : std_logic;
signal SREG_DB                  : std_logic_vector(7 downto 0);
signal DIV                      : std_logic;
signal SPI_CLK                  : std_logic;

        --spi
        -- PORT_57_CS = 1 when A = 57h and nIORQ = 0
        -- PORT_57_WRSTB = 1 when PORT_57_CS = 1 and nWR = 0
        SPI_CLK <= DIV;
        BITS_COUNTER_EN <= '0' when BITS_COUNTER(3 downto 0) = "1000" else '1';
        SREG_SHIFT_CLK <= SREG_CLK_SYNC and not SPI_CLK;
        SREG_CLK <= not PORT_57_WRSTB when PORT_57_CS = '1' else SREG_SHIFT_CLK;
        SCK <= '0' when BITS_COUNTER(3) = '1' else SPI_CLK;
       
        CNT1: lpm_counter
            GENERIC MAP (lpm_width => 4, lpm_direction => "up")
            PORT MAP (clock => not SPI_CLK, aset => PORT_57_CS, cnt_en => BITS_COUNTER_EN, q => BITS_COUNTER,
                data => "1000", aload => not nRES );

        SREG1: lpm_shiftreg
            GENERIC MAP (lpm_width => 8, lpm_direction => "left")
            PORT MAP (data => D, shiftin => MISO, load => PORT_57_CS, shiftout => MOSI,
                q => SREG_DB, clock => SREG_CLK, aset => not nRES, enable => '1');

        D <= SREG_DB when PORT_57_RDSTB = '1' else "ZZZZZZZZ";
       
        process(SPI_CLK)
        begin
            if SPI_CLK'event and SPI_CLK = '1' then
                SREG_CLK_SYNC <= not BITS_COUNTER(3);
            end if;
        end process;


вот результат в modelsime: байт #2A выплевывается нормально, а по MISO (оно в тестбенче всегда в "1") всасывается какая-то нулевая хуита...
one-sreg-shit.jpg


переделываю исходник:

Code: Select all
       SREG1: lpm_shiftreg
            GENERIC MAP (lpm_width => 8, lpm_direction => "left")
            PORT MAP (data => D, load => PORT_57_CS, shiftout => MOSI,clock => SREG_CLK, aset => not nRES);
       
       SREG2: lpm_shiftreg
            GENERIC MAP (lpm_width => 8, lpm_direction => "left")
            PORT MAP (shiftin => MISO, q => SREG_DB, clock => SREG_CLK, aset => not nRES);


в результате читается с MISO правильно, но на MOSI вылазит "0":
two-sregs-shit.jpg


wtf?
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 17 Sep 2010, 18:10

keeper wrote:wtf?

У тебя случаем вход последовательного ввода в сдвиговый регистр не запрещен? (Сигнал разрешение сдвига). Если запрещен - то вся входная информация теряется, а из регистра считывается ноль - который там при резете был. Сделай в модельсиме вывод содержимого регистра сдвига (то что у него там внутри, массив из триггеров).
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

PreviousNext

Return to Hardware

Who is online

Users browsing this forum: No registered users and 33 guests

cron