свежачОк от KOE (Z-CONTROLLER)

Postby keeper » 17 Sep 2010, 18:15

я тут пока соорудил регистр сдвига:
Code: Select all
entity sreg is
port(
--INPUTS
DI      : in std_logic_vector(7 downto 0);
sin     : in std_logic;
aset    : in std_logic;
load    : in std_logic;
clk     : in std_logic;
--OUTPUTS
DO      : out std_logic_vector(7 downto 0);
sout    : out std_logic
);
end;

architecture sreg_rtl of sreg is

signal dout     : std_logic_vector(7 downto 0);
signal din      : std_logic_vector(7 downto 0);
signal sreg_din : std_logic_vector(7 downto 0);

begin
        sout <= dout(7);
        DO <= dout;
        sreg_din <= dout(6 downto 0)&sin;
       
        din <= DI when load = '1' else sreg_din;
           
        reg1: lpm_ff
            GENERIC MAP (lpm_width => 8)
            PORT MAP (data => din, clock => clk, aset => aset, q => dout);
       
end sreg_rtl;


ЧСХ, результат тот же, всасываются нули однако...
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 17 Sep 2010, 19:24

А сам то регистр отдельно от всего работает?
Вон датошит на альтерскую мегафункцию с графиками симуляции http://www.altera.com/literature/ug/lpm_shiftreg.pdf
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

Postby keeper » 18 Sep 2010, 12:42

Разобрался с регистром сдвига, набочинил я со стробом записи. Теперь в modelsime пработает как надо, в железе не работает :) Буду осцил искать...
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 18 Sep 2010, 13:57

keeper wrote:Буду осцил искать...

Сделай клок низкоскоростной и звуковуху от компа заюзай вместо осцила и все. СПИ от скорости никак не зависит, там можно хоть 1 герц клок делать.
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

Postby keeper » 18 Sep 2010, 14:41

так то оно так, но судя по исходникам драйверов имеются идущие подряд команды IN,OUT и насколько я понимаю, при клоке в 10КГц получится какое-то гуано... Я пока решил проверить другое, я сделал разьем сд-карты на выкидыше, на этой платке располежен отдельный стабилизатор на 3.3В и подтяжки на 8,9 выводы сд-карты. СПИ я запускал на 14, 7 и 3.5 МГц и оно не работало, вот сейчас перенесу сд-сокет на основную плату и повторю процедуру...
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 18 Sep 2010, 17:38

Сделай ты тестирование сдкарты от микроконтроллера, и с него подавай клок и команды на фпга и смотри ответы, можно хоть с пц на лпт порт. А сигналы звуковухой. Когда все заработает можно уже на спектруме или гдето там еще проверять.
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

Postby keeper » 18 Sep 2010, 18:47

Перенес сд-сокет на основную плату, не работает... влепил обычный регистр на порт 57h, пейсал в него из васика от 0 до 255, а потом читал, считывается то что надо, значит со стороны хз.бас все О.К.О.В. Остаются следующие варианты:

1. Галимый клок 14МГц с хз.бас
2. 4.5 см МГТФ от CPLD до сд-сокета собирают шумы и наводки
3. modelsim меня наебывает и спи не работает/глючит
4. ошибка в днк...

1 вариант могу проверить т.к. распаял 74ALS04 на всякий случай, типо для генератора 8МГц

deathsoft wrote:Сделай ты тестирование сдкарты от микроконтроллера, и с него подавай клок и команды на фпга и смотри ответы, можно хоть с пц на лпт порт. А сигналы звуковухой. Когда все заработает можно уже на спектруме или гдето там еще проверять.


Если вариант с наплатным генератором не сработает, то буду пробовать. Надо только шнурков заделать, да софт скачать, что не проблема... Я тут прикинул что при 10Кгц клоке можно попробовать если из васика потихоньку слать/считывать байты... Кстате макс. размах сигнала на линейных входе/выходе звуковухи 0.25В? Это еще городить согласовку с ТТЛем... Кстате2, с писюком сд-карта работает...
keeper
 
Posts: 286
Joined: 12 Jun 2010, 22:31
Group: Registered users

Postby deathsoft » 18 Sep 2010, 20:47

keeper wrote:Кстате макс. размах сигнала на линейных входе/выходе звуковухи 0.25В?

Да. Ну если из 5В выходов читать - то просто пару резюков поставить. Например 20 ком и 1ком будет как раз 1/21 делитель.
User avatar
deathsoft
 
Posts: 4744
Joined: 07 Apr 2007, 00:58
Group: Registered users

Postby lvd » 19 Sep 2010, 12:16

14мгц с хзбас - галимый, а иногда его может вовсе не быть (в пентеве нету, например). Надо использовать независимый клок, и притом обязательно делать пересинхронизацию с локального клока на З80. Иначе даже если всё как бы работает - могут быть редкие глюки (раз в день, раз в месяц, раз в год).
F̞͖̭̿̔ͯu̐̅cͬ̑ͩk̨̤̳͇̮̭̪̠̽̿̓̆ͭͩ ̷̩̰͎̩͓̘̾̀ͬ̊ͭ͛ͅda̝̺͙̬͎̝̾͟ ̰̜̝̯͉̯̖̓̎́ͨ̽ͫ͟f̟͇̭̀ͬͨͭ̐̚u̹̼̹̗̞͑̔͂͐̚cͭ̅̊̆̒̆ǩ̝̩̯́ͥ̔̍̑ḭ͓͍̳̬ͦ̽͂n͍͎͈̈̅ͩͬ ̊ͫ̂̾̑̈́f̲͚͉͓͗̋́ͧͦ̅ȗ͇̲̻͈̲̅̎͗͒ͭ͡c̬̟̠̹̯̈́ͩ͘ͅk̫̠̻̋͜a̲͒̾̇!͙͕̺͉̗̩̲̂̏̄̀
User avatar
lvd
 
Posts: 7262
Joined: 07 Apr 2007, 21:28
Group: Registered users

Postby jdigreze » 19 Sep 2010, 12:24

keeper wrote:2. 4.5 см МГТФ от CPLD до сд-сокета собирают шумы и наводки
Я большие скорости не юзал, но вроде до 1мгц у меня шнур был 10см. Истщо - проверь фазу моси относительно цлк - это существенно.
Сколько меня не корми, волк всё равно в лес смотреть будет
jdigreze
 
Posts: 1478
Joined: 01 Aug 2008, 06:49
Location: Агбан
Group: Registered users

PreviousNext

Return to Hardware

Who is online

Users browsing this forum: No registered users and 62 guests

cron