Re: свежачОк от KOE (Z-CONTROLLER)
Posted: 17 Sep 2010, 18:15
я тут пока соорудил регистр сдвига:
ЧСХ, результат тот же, всасываются нули однако...
- Code: Select all
entity sreg is
port(
--INPUTS
DI : in std_logic_vector(7 downto 0);
sin : in std_logic;
aset : in std_logic;
load : in std_logic;
clk : in std_logic;
--OUTPUTS
DO : out std_logic_vector(7 downto 0);
sout : out std_logic
);
end;
architecture sreg_rtl of sreg is
signal dout : std_logic_vector(7 downto 0);
signal din : std_logic_vector(7 downto 0);
signal sreg_din : std_logic_vector(7 downto 0);
begin
sout <= dout(7);
DO <= dout;
sreg_din <= dout(6 downto 0)&sin;
din <= DI when load = '1' else sreg_din;
reg1: lpm_ff
GENERIC MAP (lpm_width => 8)
PORT MAP (data => din, clock => clk, aset => aset, q => dout);
end sreg_rtl;
ЧСХ, результат тот же, всасываются нули однако...